/* positive edge triggered JK flip flop */ ff(IQ,IQN) { clocked_on : "CLK" ; next_state : "(J K IQ') + (J K') + (J' K' IQ)" ; clear : "CLR'" ; preset : "SET'" ; clear_preset_var1 : X ; clear_preset_var2 : X ;} /* positive edge triggered D flip flop */ ff (IQ, IQN) { next_state : "D * CLR'" ; clocked_on : "CLK" ;}