#!/bin/tcsh ###################################################################### # Startup script for nc_verilog - with gui # Erik Brunvand, University of Utah ###################################################################### setenv CADPUB /uusoc/facility/cad_common/ setenv CADSETUPS ${CADPUB}/local/setups/F08 #store the arguments from the command line setenv SOURCELIST $1 #if arguments not specified prompt for proper syntax if ("$SOURCELIST" == "") then echo "sim-ncg - Opens Cadence nc_verilog for verilog simulation (with GUI)." echo " " echo "Usage : sim-ncg file.v " echo " file.v is the verilog file to simulate" echo " " echo "Usage : sim-ncg -f f1 " echo " f1 is a file containing a list of Verilog source files" echo " including the top testbench file." echo " " exit endif #setup to run Cadence source ${CADSETUPS}/setup-cadence ############################################# # set the local dir for synopsys #(helpful for simulation of synopsys primitives) setenv SYNOPSYS_BASE /uusoc/facility/cad_common/Synopsys setenv SYNOPSYS ${SYNOPSYS_BASE}/SYN-F08 # remind the user where they are... echo "Working directory is" $PWD # Start ncverilog for simulation after compiling the source files ncverilog -l nc.log +access+r +gui $argv