######################################################################## # Source this to set things up for Synopsys # Erik Brunvand, University of Utah ######################################################################## # Determine which operating system we are on, and set OS accordingly. ######################################################################## switch ( "`uname`" ) case SunOS*: set OS=sparcOS5 switch ( "`uname -r`" ) case 5.*: set OS=sparcOS5 if (-x "/bin/isainfo") then switch ("`isainfo -n`") case [Ss][Pp][Aa][Rr][Cc][Vv]9: set OS=sparc64 breaksw endsw endif breaksw endsw breaksw case HP-UX*: set OS=hpux10 if (`uname -r` =~ B.11.*) then set VMSTRING = `file /stand/vmunix` set OSTYPE = $VMSTRING[2] if ( $OSTYPE =~ ELF-64) then set OS = hp64 else set OS=hp32 endif else set OS=hp32 endif breaksw case Linux*: switch (`uname -m`) case ia64: set OS=linuxipf breaksw case x86_64: set OS=amd64 if (-f /etc/issue) then if (`grep -ic SUSE /etc/issue`) then set OS=suse64 endif endif breaksw default: set OS=linux if (-f /etc/issue) then if (`grep -ic SUSE /etc/issue`) then set OS=suse32 else if (`grep 'Red Hat Linux' /etc/issue | grep -c 'release 7.2'`) then set OS=linux72 endif endif breaksw endsw breaksw case AIX*: set OS=rs6000 switch ( "`uname -r`" ) case 2*: set OS=aix2 breaksw endsw switch ( "`uname -v`" ) case 5: set OS=aix64 breaksw endsw breaksw case IRIX*: set OS=irix breaksw case UNIX_SV*: set OS=unix_sv breaksw case OSF1*: set OS=OSF1 breaksw default: set OS=unknown breaksw endsw # # --- return results # echo "Assuming $OS as your OS platform...." ############################################# # set the local dir for synopsys setenv SYNOPSYS_BASE /uusoc/facility/cad_common/Synopsys # Point to each of the installation directories for the tool suites setenv SYNOPSYS ${SYNOPSYS_BASE}/SYN-F07 # synthesis tools setenv NS_HOME ${SYNOPSYS_BASE}/NS-F07 # NanoSim setenv PT_HOME ${SYNOPSYS_BASE}/PT-F07 # PrimeTime setenv VCS_HOME ${SYNOPSYS_BASE}/VCS-F07 # VCS Verilog Simulation setenv SOLD_HOME ${SYNOPSYS_BASE}/SOLD-F07 # Synopsys Online Documentation setenv ASTRO_HOME ${SYNOPSYS_BASE}/ASTRO-F07 #Astro tools setenv PC_HOME ${SYNOPSYS_BASE}/PC-F07 # Physically aware synthesis # Alias to start the document (help) system alias help_synopsys 'acroread ${SOLD_HOME}/doc/online/top.pdf' # inform synopsys where the license server is setenv SNPSLMD_LICENSE_FILE 27000@cadlicense.eng.utah.edu #setup for dc_shell and design_analyzer set dc_dirs = ( ${SYNOPSYS}/${OS}/syn/bin ) #setup for vcs simulation (verilog) set vcs_dirs = ( ${VCS_HOME}/bin \ ${VCS_HOME}/${OS}/bin ) #setup for nanosim set ns_dirs = ( ${NS_HOME}/${OS}/ns/bin ) #setup for primetime set pt_dirs = ( ${PT_HOME}/bin ) #setup for astro set astro_dirs = ( ${ASTRO_HOME}/bin ) #setup for pc (physical compiler) set pc_dirs = ( ${PC_HOME}/bin ) set path = ( ${dc_dirs} ${vcs_dirs} ${ns_dirs} ${pt_dirs} ${astro_dirs} ${path} ) setenv MWFONT_CACHE_DIR ${HOME}/.synopsys_windows echo "You are now set up to run the synopsys tools." echo "" echo "Make sure that you have the correct " echo ".synopsys_dc.setup file in the directory that you're " echo "running in. " echo "" echo "" echo "Note that you may have to explicitly set your DISPLAY environment" echo "variable to be the name of the machine you're sitting in front of." echo ""